谷歌浏览器插件
订阅小程序
在清言上使用

Organic Interposer CoWoS-R+ (plus) Technology

2022 IEEE 72nd Electronic Components and Technology Conference (ECTC)(2022)

引用 10|浏览2
暂无评分
摘要
Organic interposer (CoWoS-R) technology is one of the most promising heterogeneous integration platforms for high performance computing (HPC) applications. Components such as chiplets, high-bandwidth memory (HBM), and passives can be integrated into an organic interposer with excellent yield and reliability. CoWoS-R provides low RC interconnect with good signal isolation and design scalability. The new organic interposer CoWoS-R + (plus) successfully integrates both a large amount of high density IPD (integrated passive device) and fine pitch Si-based connection block for convenient IP migration. IPD serves as decoupling (de-cap) capacitor, which is critical to the high-speed data operations in advanced logic circuits, where stable voltage supplies are required. The distance between SOC devices and capacitors is minimized to assure fast response. The feeding resistance is greatly reduced by thick power mesh and bump via in the organic interposer. The advantages in connectivity and power integrity of new CoWoS-R + (plus) technology are presented.
更多
查看译文
关键词
Organic interposer,HPC package,CoWoS-R,RDL,fine pitch Si-based connection block,IPD capacitor integration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要