gem5 + rtl - A Framework to Enable RTL Models Inside a Full-System Simulator.

ICPP(2021)

引用 4|浏览15
暂无评分
摘要
In recent years there has been a surge of interest in designing custom accelerators for power-efficient high-performance computing. However, available tools to simulate low-level RTL designs often neglect the target system in which the design will operate. This hinders proper testing and debugging of functionalities, and does not allow co-designing the accelerator to obtain a balanced and efficient architecture. In this paper, we introduce gem5+rtl, a flexible framework that enables simulation of RTL models inside a full-system software simulator. We present the framework's functionality that allows easy integration of RTL models on a simulated system-on-chip (SoC) that is able to boot Linux and run complex multi-threaded and multi-programmed workloads. We demonstrate the framework with two relevant use cases that integrate a multi-core SoC with a Performance Monitoring Unit (PMU) and the NVIDIA Deep Learning Accelerator (NVDLA), showcasing how the framework enables testing RTL model features and how it can enable co-design taking into account the entire SoC.
更多
查看译文
关键词
gem5, RTL, System-On-Chip (SoC), Accelerators, Heterogeneous computing, Simulation, Verilator, GHDL
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要