二进制计数器型真格雷码计数器及其多路测时

Microelectronics & Computer(2013)

Cited 23|Views4
No score
Abstract
将二进制计数器和异或门组合在一起相当于准格雷码计数器;用二进制计数器驱动T′触发器,可以容易实现真正的格雷码计数器.用CPLD实现的格雷码计数器加+多锁存器结构,方便了多路时序的计算机测量,已成功地应用于一种控制程序的延时时间测量中.
More
Translated text
Key words
multi-channel time-sequence,computer measurement,timer,Gray code counter
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined