Chrome Extension
WeChat Mini Program
Use on ChatGLM

Recent Progress in EUV Resist Outgas Research at EIDEC

JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY(2015)

Cited 5|Views8
No score
Abstract
The suppression of extreme ultraviolet (EUV) resist outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. The outgas qualification using a witness sample (WS) has been developed into the general method for clarifying commercially available, chemically amplified resists. In our recent study, a resist outgas model is proposed and tested to investigate the contamination thickness' dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. The detail is explained in this paper.
More
Translated text
Key words
EUV,Lithography,Resist,Outgas,Contamination
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined