Optimization of Spin-on Metal Oxide Resist Performance via New Development Techniques on Sub-30nm Pitch Patterning

Lior Huli, Kanzo Kato, Steven Gueci, Nathan Antonovich, Steven Grzeskowiak, David Hetzer,Eric Liu,Alexandra Krawicz,Satoru Shimura, Shinichiro Kawakami,Soichiro Okada,Karen Petrillo,Luciana Meli, Nicholas Latham, Yasiel Cabrera, Belle Antonovich

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XL(2023)

引用 0|浏览0
暂无评分
摘要
Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.
更多
查看译文
关键词
Extreme Ultraviolet Lithography (EUVL), Metal Oxide Resist (MOR), ESPERT (TM) (Enhanced Sensitivity developer Technology (TM))
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要