Improving Linearity in CMOS Phase Interpolators

IEEE Journal of Solid-State Circuits(2023)

引用 0|浏览3
暂无评分
摘要
We compare the prior art in phase interpolators (PIs), classifying them as current-mode, voltage-mode, and integrating-mode PI. Next, we present an integrating-mode PI where the voltage slopes with high phase linearity are generated through the integration of phase-shifted weighted current sources. The constant and variable voltage slopes are generated by current sources/sinks created using stacked devices in a 0.75-V 5-nm finFET technology. This PI technique supports the high-speed and low-power operation and achieves dual-edge interpolation with improved duty-cycle distortion characteristics. The PI generates an output clock with 9 bits of resolution and a small peak-to-peak integral nonlinearity (INLpp) and peak-to-peak differential nonlinearity (DNLpp) of 2.4° and 1.4°, respectively, at 13.3 GHz with just quadrature clock inputs. The PI has a 71-fsrms random jitter (integrated from 3 MHz to 3 GHz) and occupies an active area of 0.006 mm2 while consuming 6-mW power at 14 GHz. An integrated rotation spur of −42.6 dBc for 256-ppm modulation at 13.3-GHz operating frequency is achieved for 1-GHz update rate for the dynamic linearity measurements.
更多
查看译文
关键词
linearity,phase
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要