Approaches to Enable Patterning of Tight Pitches towards High NA EUV

Hiroki Tadatomo,Arnaud Dauendorffer, Tomoya Onitsuka, Hisashi Genjima, Yasuyuki Ido,Soichiro Okada, Yuhei Kuwahara,Arisa Hara, Congque Dinh,Seiji Fujimoto, Shinichiro Kawakami,Makoto Muramatsu,Satoru Shimura,Kathleen Nafus, Noriaki Oikawa,Kenta Ono, Yannick Feurprier,Marc Demand,Ainhoa Romo Negreira,Seiji Nagahara,Blanco Victor,Philippe Foubert,Danilo De Simone

ADVANCED ETCH TECHNOLOGY AND PROCESS INTEGRATION FOR NANOPATTERNING XI(2022)

引用 0|浏览2
暂无评分
摘要
Extreme ultraviolet (EUV) lithography has been used for mass production for several years. Now the resolution limit of current 0.33 NA single exposure has been approaching. To enhance the resolution limit, high NA exposure tool has been developing. At the limit, not only the stochastic failures1, but also patterning trade-off has been becoming challenging. In this paper, to overcome the patterning trade-off of LS and CH, several approaches were demonstrated for both CAR and MOR. As for chemically amplified resist (CAR), to overcome the patterning trade-off of line and space, two different approaches were demonstrated. One was a developer rinse process optimization, and the other was a top deposition treatment during etching process. By using the two approaches, pitch 24 nm LS patterns were successfully transferred. As to CAR CH patterning, a new shrink technique during etch process was successfully tested for sub 15 nm hole patterning. No missing hole detected at 12 nm hole size by voltage contrast metrology. For tighter nodes, spin-on metal oxide resist (MOR) have been considering to be used because it offers a series of advantages. It has high sensitivity and resolution because of its high photon absorption and simple reaction mechanism. It also inherently has a higher etch resistance which enables resist thickness thinner and collapse margin higher. Spin-on process of MOR is expect to contribute high productivity which is essential for high volume manufacturing (HVM). Because defect reduction is one of the key points to enable MOR process for HVM, continuous investigation of defect mitigation has been done. For pitch 32 nm LS, the mitigation was confirmed by fine optimization with the combination of the etch process and the implementation of new under layers. As to pitch 28nm line and space, optimized illumination gave better defect process windows. Moreover, a new wet developer process was successfully proposed to prevent pitch 36 nm hexagonal pillars collapse during wet development with 25% higher EUV sensitivity.
更多
查看译文
关键词
EUV, defectivity, chemically amplified resist (CAR), metal oxide resist (MOR), under layer, CH, LS, pattern collapse
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要