Photonic crystals for Bloch surface wave propagation based on lithium niobate thin films (Conference Presentation)

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics XI(2018)

引用 0|浏览0
暂无评分
摘要
BSWs are non-radiative electromagnetic waves confined at the interface between a truncated periodic dielectric multilayer and a surrounding media. As an alternative to SPPs (Surface Plasmon Polaritons), BSWs show dramatically enhanced propagation lengths up to several millimeters range and provide new optical opportunities such as the possibility to obtain TE or TM-polarized surface waves. They have found numerous applications in vapor sensing, biosensing, fluorescence detection and imaging, and integrated optics. In this work, we propose a 1DPhC with a thin film of LiNbO3 (TFLN) as the top layer of the multilayer structure. The bonding of LiNbO3 into the 1DPhC structure brings anisotropy and nonlinear properties into the whole crystal allowing the tunability of the BSW devices. Here we present 1DPhCs, which are able to sustain surface waves at the LiNbO3/air interface. Two different geometries have been studied, fabricated and optically characterized. The first one is based on the LiNbO3 membrane suspended in air and the second one is held by a stable glass platform. The multilayer of the membrane based crystal is as following: air/6 pairs of Si3N4(200nm) and SiO2(215nm)/TFLN(1.1μm) – polished from bulk LN/air. The multilayer of the glass supported crystal is as following: glass/UV glue/6 pairs of Si3N4(220 nm) and SiO2(490nm)/TFLN(386nm)/air. 1DPhCs were characterized in Kretschmann configuration at visible and IR wavelengths.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要