A 10nm Finfet 128mb Sram With Assist Adjustment System For Power, Performance, And Area Optimization

Taejoong Song,Woojin Rim,Sunghyun Park,Yongho Kim,Jonghoon Jung,Giyong Yang,Sanghoon Baek,Jaeseung Choi, Bongjae Kwon, Yunwoo Lee, Sungbong Kim,Gyuhong Kim, Hyo-Sig Won, Ja-Hum Ku,Sunhom Steve Paak, E. S. Jung, Steve Sungho Park,Kinam Kim

2016 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC)(2016)

引用 0|浏览0
暂无评分
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要