Advances in Design and Test of Monolithic 3-D ICs

IEEE Design & Test(2020)

引用 7|浏览92
暂无评分
摘要
Monolithic 3-D (M3D) technology enables unprecedented degrees of integration on a single chip. The miniscule monolithic intertier vias (MIVs) in M3D are the key behind higher transistor density and more flexibility in designing circuits compared to conventional through silicon via (TSV)-based architectures. This article presents a comprehensive design and test techniques for emerging M3D-enabled circuits and systems.
更多
查看译文
关键词
monolithic 3D,MIV,EDA,Shrunk-2D,design-for-test,BIST,resistive defect,ReRAM,ReRAM compiler,design-space exploration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要