Tapeout Class: Taking Students From Schematic To Silicon In One Semester

2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)(2018)

引用 3|浏览37
暂无评分
摘要
In the spring of 2017, the UC Berkeley department of EECS introduced an innovative new course: "28nm SoC for IoT." This course went far beyond schematic-level design typical of circuits education and resulted in a chip going out for manufacturing. Ten students with no prior IC experience, nine undergraduate and one graduate, designed and laid out an SoC in ST 28nm FD-SOI CMOS including a 2.4GHz transceiver, baseband filtering, ADC, Bluetooth MAC, a RISC-V CPU, and internal power regulation. The transceiver, baseband, ADC, and power regulation were successfully fabricated. This paper discusses the instructors' experiences and results with this course.
更多
查看译文
关键词
tapeout class,IoT,circuits education,baseband filtering,ADC,Bluetooth MAC,RISC-V CPU,internal power regulation,SoC,FD-SOI CMOS,radio transceiver,schematic-level design,UC Berkeley department of EECS,size 28.0 nm,frequency 2.4 GHz,Si
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要