Predicting memcached throughput using simulation and modeling

SpringSim (TMS-DEVS)(2012)

引用 28|浏览34
暂无评分
摘要
The current work introduces a method for predicting Memcached throughput on single-core and multi-core processors. The method is based on traces collected from a full system simulator running Memcached. A series of microarchitectural simulators consume these traces and the results are used to produce a CPI model composed of a baseline issue rate, cache miss rates, and branch misprediction rate. Simple queuing models are used to produce throughput predictions with accuracy in the range of 8% to 17%.
更多
查看译文
关键词
cpi model,baseline issue rate,branch misprediction rate,full system simulator,current work,microarchitectural simulator,memcached throughput,multi-core processor,throughput prediction
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要